diff -r 35e40a6fc72b -r 1f76060d58a4 Signal.st --- a/Signal.st Tue Mar 07 22:55:20 1995 +0100 +++ b/Signal.st Thu Mar 09 00:40:27 1995 +0100 @@ -22,7 +22,7 @@ COPYRIGHT (c) 1993 by Claus Gittinger All Rights Reserved -$Header: /cvs/stx/stx/libbasic/Signal.st,v 1.18 1995-02-16 16:24:46 claus Exp $ +$Header: /cvs/stx/stx/libbasic/Signal.st,v 1.19 1995-03-08 23:39:56 claus Exp $ '! !Signal class methodsFor:'documentation'! @@ -43,7 +43,7 @@ version " -$Header: /cvs/stx/stx/libbasic/Signal.st,v 1.18 1995-02-16 16:24:46 claus Exp $ +$Header: /cvs/stx/stx/libbasic/Signal.st,v 1.19 1995-03-08 23:39:56 claus Exp $ " ! @@ -137,12 +137,11 @@ "setup the signal used to handle unhandled signals" NoHandlerSignal isNil ifTrue:[ - Object initialize. + ErrorSignal isNil ifTrue:[super initialize]. - NoHandlerSignal := Object errorSignal newSignalMayProceed:true. + NoHandlerSignal := ErrorSignal newSignalMayProceed:true. NoHandlerSignal nameClass:self message:#noHandlerSignal. NoHandlerSignal notifierString:'unhandled exception'. - ] ! !