# HG changeset patch # User Claus Gittinger # Date 1434984054 -7200 # Node ID 703ac64f8a444204e8b3a7d4379bf231b047e9c9 # Parent 9a273867e73264176c4e4734138f4b2d7b4e6853 class: UnitConverter comment/format in: #frequencyFromString: #frequencyStringFor: diff -r 9a273867e732 -r 703ac64f8a44 UnitConverter.st --- a/UnitConverter.st Mon Jun 22 16:38:28 2015 +0200 +++ b/UnitConverter.st Mon Jun 22 16:40:54 2015 +0200 @@ -1193,6 +1193,7 @@ self frequencyFromString:'1.8 Mhz' self frequencyFromString:'0.5 Ghz' self frequencyFromString:'0.5 Thz' + self frequencyFromString:'1000/s' self frequencyFromString:'10/s' self frequencyFromString:'10/min' self frequencyFromString:'10/hr' @@ -1212,6 +1213,7 @@ unitStrings:#('Hz' 'Khz' 'Mhz' 'Ghz' 'Thz' 'Phz' 'Ehz') " + self frequencyStringFor:0.1 self frequencyStringFor:10 self frequencyStringFor:100 self frequencyStringFor:1000