RegressionTests__CESequenceableCollectionExtensionTest.st
author Jan Vrany <jan.vrany@fit.cvut.cz>
Mon, 28 Aug 2017 21:05:24 +0100
branchjv
changeset 1954 f868e5f2043f
parent 1447 2351db93aa5b
permissions -rw-r--r--
Added tests for `RecursionLock`

"{ Package: 'stx:goodies/regression' }"

"{ NameSpace: RegressionTests }"

TestCase subclass:#CESequenceableCollectionExtensionTest
	instanceVariableNames:''
	classVariableNames:''
	poolDictionaries:''
	category:'tests-Regression-CollectionExtensions'
!


!CESequenceableCollectionExtensionTest methodsFor:'tests'!

testPairsSimilarityWith
	
	self assert: ('1234' pairsSimilarityWith: '2234') equals: (2/3).
	self assert: ('1234' pairsSimilarityWith: '123') equals: (4/5).
	self assert: ('1234' pairsSimilarityWith: '5678') equals: 0
! !

!CESequenceableCollectionExtensionTest class methodsFor:'documentation'!

version
    ^ '$Header$'
!

version_CVS
    ^ '$Header$'
! !