Integer.st
changeset 15765 6edf4f55277d
parent 15578 88cefb6e5d94
child 15775 94bd832a355e
child 18098 2bbfe6952a44
equal deleted inserted replaced
15764:fa42cc60ec5a 15765:6edf4f55277d
   756     ^ BCDConversionErrorSignal
   756     ^ BCDConversionErrorSignal
   757 
   757 
   758     "Modified: / 15.11.1999 / 20:35:20 / cg"
   758     "Modified: / 15.11.1999 / 20:35:20 / cg"
   759 ! !
   759 ! !
   760 
   760 
   761 
       
   762 
       
   763 !Integer class methodsFor:'class initialization'!
   761 !Integer class methodsFor:'class initialization'!
   764 
   762 
   765 initialize
   763 initialize
   766     BCDConversionErrorSignal isNil ifTrue:[
   764     BCDConversionErrorSignal isNil ifTrue:[
   767         BCDConversionErrorSignal := ConversionError newSignal.
   765         BCDConversionErrorSignal := ConversionError newSignal.
   817     "
   815     "
   818      Integer displayRadix:16. 123456 inspect
   816      Integer displayRadix:16. 123456 inspect
   819      Integer displayRadix:10. 123456 inspect
   817      Integer displayRadix:10. 123456 inspect
   820     "
   818     "
   821 ! !
   819 ! !
   822 
       
   823 
   820 
   824 !Integer class methodsFor:'prime numbers'!
   821 !Integer class methodsFor:'prime numbers'!
   825 
   822 
   826 flushPrimeCache
   823 flushPrimeCache
   827     "cleanup after using a primeCache"
   824     "cleanup after using a primeCache"
  1141      Abstract subclasses must redefine again."
  1138      Abstract subclasses must redefine again."
  1142 
  1139 
  1143     ^ self == Integer
  1140     ^ self == Integer
  1144 ! !
  1141 ! !
  1145 
  1142 
  1146 
       
  1147 !Integer methodsFor:'Compatibility-Dolphin'!
  1143 !Integer methodsFor:'Compatibility-Dolphin'!
  1148 
  1144 
  1149 & aNumber
  1145 & aNumber
  1150     "return the bitwise-and of the receiver and the argument, anInteger.
  1146     "return the bitwise-and of the receiver and the argument, anInteger.
  1151      Same as bitAnd: - added for compatibility with Dolphin Smalltalk.
  1147      Same as bitAnd: - added for compatibility with Dolphin Smalltalk.
  1205     "
  1201     "
  1206 !
  1202 !
  1207 
  1203 
  1208 printStringRadix:aRadix padTo:sz
  1204 printStringRadix:aRadix padTo:sz
  1209     "return a printed representation of the receiver in a given radix,
  1205     "return a printed representation of the receiver in a given radix,
  1210      padded with spaces (at the right) up to size.
  1206      padded with zeros (at the left) up to size.
  1211      If the printString is longer than size,
  1207      If the printString is longer than size,
  1212      it is returned unchanged (i.e. not truncated).
  1208      it is returned unchanged (i.e. not truncated).
  1213      See also printStringRadix:size:fill:"
  1209      See also printStringRadix:size:fill:"
  1214 
  1210 
  1215     ^ self printStringRadix:aRadix size:sz fill:$0
  1211     ^ self printStringRadix:aRadix size:sz fill:$0
  4313     "
  4309     "
  4314 
  4310 
  4315     "Created: / 09-01-2012 / 17:18:06 / cg"
  4311     "Created: / 09-01-2012 / 17:18:06 / cg"
  4316 ! !
  4312 ! !
  4317 
  4313 
  4318 
       
  4319 !Integer methodsFor:'special modulu arithmetic'!
  4314 !Integer methodsFor:'special modulu arithmetic'!
  4320 
  4315 
  4321 add_32:anInteger
  4316 add_32:anInteger
  4322     "return a C-semantic 32bit sum of the receiver and the argument. 
  4317     "return a C-semantic 32bit sum of the receiver and the argument. 
  4323      Both must be either Small- or LargeIntegers.
  4318      Both must be either Small- or LargeIntegers.
  5000 ! !
  4995 ! !
  5001 
  4996 
  5002 !Integer class methodsFor:'documentation'!
  4997 !Integer class methodsFor:'documentation'!
  5003 
  4998 
  5004 version
  4999 version
  5005     ^ '$Header: /cvs/stx/stx/libbasic/Integer.st,v 1.285 2013-07-30 10:56:51 stefan Exp $'
  5000     ^ '$Header: /cvs/stx/stx/libbasic/Integer.st,v 1.286 2013-09-23 13:11:40 cg Exp $'
  5006 !
  5001 !
  5007 
  5002 
  5008 version_CVS
  5003 version_CVS
  5009     ^ '$Header: /cvs/stx/stx/libbasic/Integer.st,v 1.285 2013-07-30 10:56:51 stefan Exp $'
  5004     ^ '$Header: /cvs/stx/stx/libbasic/Integer.st,v 1.286 2013-09-23 13:11:40 cg Exp $'
  5010 ! !
  5005 ! !
  5011 
  5006 
  5012 
  5007 
  5013 Integer initialize!
  5008 Integer initialize!