Tools__ChangeSetSpec.st
author Jan Vrany <jan.vrany@fit.cvut.cz>
Mon, 26 Mar 2012 16:34:31 +0100
branchjv
changeset 12207 17656fc80e6c
parent 12201 283826cb8bcc
child 12276 415495417f39
permissions -rw-r--r--
Improvements in method rewriter
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
12128
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     1
"
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     2
 COPYRIGHT (c) 2006 by eXept Software AG
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     3
              All Rights Reserved
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     4
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     5
 This software is furnished under a license and may be used
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     6
 only in accordance with the terms of that license and with the
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     7
 inclusion of the above copyright notice.   This software may not
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     8
 be provided or otherwise made available to, or used by, any
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     9
 other person.  No title to or ownership of the software is
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    10
 hereby transferred.
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    11
"
12125
0c49a3b13e43 Merged with /trunk
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12123
diff changeset
    12
"{ Package: 'stx:libtool' }"
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
    13
0931579fc087 initial checkin
vrany
parents:
diff changeset
    14
"{ NameSpace: Tools }"
0931579fc087 initial checkin
vrany
parents:
diff changeset
    15
0931579fc087 initial checkin
vrany
parents:
diff changeset
    16
Object subclass:#ChangeSetSpec
0931579fc087 initial checkin
vrany
parents:
diff changeset
    17
	instanceVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    18
	classVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    19
	poolDictionaries:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    20
	category:'Interface-Dialogs'
0931579fc087 initial checkin
vrany
parents:
diff changeset
    21
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
    22
0931579fc087 initial checkin
vrany
parents:
diff changeset
    23
ChangeSetSpec subclass:#Directory
0931579fc087 initial checkin
vrany
parents:
diff changeset
    24
	instanceVariableNames:'directory'
0931579fc087 initial checkin
vrany
parents:
diff changeset
    25
	classVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    26
	poolDictionaries:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    27
	privateIn:ChangeSetSpec
0931579fc087 initial checkin
vrany
parents:
diff changeset
    28
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
    29
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    30
ChangeSetSpec subclass:#Explicit
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    31
	instanceVariableNames:'changeSet'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    32
	classVariableNames:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    33
	poolDictionaries:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    34
	privateIn:ChangeSetSpec
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    35
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    36
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    37
ChangeSetSpec subclass:#File
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    38
	instanceVariableNames:'file'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    39
	classVariableNames:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    40
	poolDictionaries:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    41
	privateIn:ChangeSetSpec
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    42
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    43
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
    44
ChangeSetSpec subclass:#Package
0931579fc087 initial checkin
vrany
parents:
diff changeset
    45
	instanceVariableNames:'package'
0931579fc087 initial checkin
vrany
parents:
diff changeset
    46
	classVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    47
	poolDictionaries:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    48
	privateIn:ChangeSetSpec
0931579fc087 initial checkin
vrany
parents:
diff changeset
    49
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
    50
12128
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    51
!ChangeSetSpec class methodsFor:'documentation'!
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    52
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    53
copyright
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    54
"
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    55
 COPYRIGHT (c) 2006 by eXept Software AG
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    56
              All Rights Reserved
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    57
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    58
 This software is furnished under a license and may be used
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    59
 only in accordance with the terms of that license and with the
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    60
 inclusion of the above copyright notice.   This software may not
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    61
 be provided or otherwise made available to, or used by, any
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    62
 other person.  No title to or ownership of the software is
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    63
 hereby transferred.
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    64
"
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    65
! !
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
    66
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    67
!ChangeSetSpec class methodsFor:'instance creation'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    68
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    69
changeSet: changeset
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    70
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    71
    ^Explicit new changeSet: changeset
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    72
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    73
    "Created: / 19-03-2012 / 23:59:30 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    74
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    75
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    76
directory: directory
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    77
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    78
    ^Directory new package: directory
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    79
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    80
    "Created: / 19-03-2012 / 23:59:07 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    81
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    82
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    83
file: file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    84
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    85
    ^File new file: file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    86
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    87
    "Created: / 19-03-2012 / 23:58:51 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    88
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    89
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    90
package: package
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    91
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    92
    ^Package new package: package
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    93
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    94
    "Created: / 19-03-2012 / 23:58:40 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    95
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    96
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
    97
!ChangeSetSpec class methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
    98
0931579fc087 initial checkin
vrany
parents:
diff changeset
    99
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   100
0931579fc087 initial checkin
vrany
parents:
diff changeset
   101
    ^self subclassResponsibility
0931579fc087 initial checkin
vrany
parents:
diff changeset
   102
0931579fc087 initial checkin
vrany
parents:
diff changeset
   103
    "Created: / 05-07-2011 / 23:37:03 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   104
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   105
0931579fc087 initial checkin
vrany
parents:
diff changeset
   106
label
0931579fc087 initial checkin
vrany
parents:
diff changeset
   107
0931579fc087 initial checkin
vrany
parents:
diff changeset
   108
    ^self subclassResponsibility
0931579fc087 initial checkin
vrany
parents:
diff changeset
   109
0931579fc087 initial checkin
vrany
parents:
diff changeset
   110
    "Created: / 05-07-2011 / 23:29:33 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   111
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   112
0931579fc087 initial checkin
vrany
parents:
diff changeset
   113
specClasses
0931579fc087 initial checkin
vrany
parents:
diff changeset
   114
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   115
    ^self allSubclasses reject:[:e|e == Explicit]
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   116
0931579fc087 initial checkin
vrany
parents:
diff changeset
   117
    "Created: / 05-07-2011 / 23:30:45 / jv"
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   118
    "Modified: / 19-03-2012 / 23:57:46 / Jan Vrany <jan.vrany@fit.cvut.cz>"
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   119
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   120
0931579fc087 initial checkin
vrany
parents:
diff changeset
   121
!ChangeSetSpec methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   122
0931579fc087 initial checkin
vrany
parents:
diff changeset
   123
changeSet
0931579fc087 initial checkin
vrany
parents:
diff changeset
   124
0931579fc087 initial checkin
vrany
parents:
diff changeset
   125
    ^self subclassResponsibility
0931579fc087 initial checkin
vrany
parents:
diff changeset
   126
0931579fc087 initial checkin
vrany
parents:
diff changeset
   127
    "Created: / 05-07-2011 / 23:13:35 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   128
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   129
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   130
directory
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   131
    ^'N/A'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   132
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   133
    "Created: / 19-03-2012 / 23:31:49 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   134
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   135
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   136
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   137
0931579fc087 initial checkin
vrany
parents:
diff changeset
   138
    ^self class editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   139
0931579fc087 initial checkin
vrany
parents:
diff changeset
   140
    "Created: / 06-07-2011 / 09:57:51 / Jan Vrany <jan.vrany@fit.cvut.cz>"
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   141
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   142
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   143
file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   144
    ^'N/A'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   145
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   146
    "Created: / 19-03-2012 / 23:31:42 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   147
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   148
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   149
package
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   150
    ^'N/A'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   151
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   152
    "Created: / 19-03-2012 / 23:31:57 / Jan Vrany <jan.vrany@fit.cvut.cz>"
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   153
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   154
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   155
!ChangeSetSpec methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   156
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   157
isChangeSet
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   158
    ^self isExplicit
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   159
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   160
    "Created: / 20-03-2012 / 14:58:58 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   161
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   162
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   163
isDirectory
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   164
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   165
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   166
    "Created: / 20-03-2012 / 14:59:16 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   167
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   168
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   169
isExplicit
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   170
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   171
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   172
    "Created: / 20-03-2012 / 14:58:50 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   173
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   174
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   175
isFile
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   176
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   177
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   178
    "Created: / 20-03-2012 / 14:58:34 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   179
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   180
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   181
isPackage
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   182
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   183
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   184
    "Created: / 20-03-2012 / 14:58:43 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   185
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   186
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   187
!ChangeSetSpec::Directory class methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   188
0931579fc087 initial checkin
vrany
parents:
diff changeset
   189
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   190
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   191
0931579fc087 initial checkin
vrany
parents:
diff changeset
   192
    ^ #editorSpecForDirectory
0931579fc087 initial checkin
vrany
parents:
diff changeset
   193
0931579fc087 initial checkin
vrany
parents:
diff changeset
   194
    "Modified: / 05-07-2011 / 23:37:41 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   195
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   196
0931579fc087 initial checkin
vrany
parents:
diff changeset
   197
label
0931579fc087 initial checkin
vrany
parents:
diff changeset
   198
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   199
0931579fc087 initial checkin
vrany
parents:
diff changeset
   200
    ^ 'Directory'
0931579fc087 initial checkin
vrany
parents:
diff changeset
   201
0931579fc087 initial checkin
vrany
parents:
diff changeset
   202
    "Modified: / 05-07-2011 / 23:31:12 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   203
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   204
0931579fc087 initial checkin
vrany
parents:
diff changeset
   205
!ChangeSetSpec::Directory methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   206
0931579fc087 initial checkin
vrany
parents:
diff changeset
   207
changeSet
0931579fc087 initial checkin
vrany
parents:
diff changeset
   208
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   209
0931579fc087 initial checkin
vrany
parents:
diff changeset
   210
    | name |
0931579fc087 initial checkin
vrany
parents:
diff changeset
   211
    name := directory asString.
0931579fc087 initial checkin
vrany
parents:
diff changeset
   212
    name size > 50 ifTrue:[
0931579fc087 initial checkin
vrany
parents:
diff changeset
   213
        name := '...' , (name copyFrom: name size - 47)
0931579fc087 initial checkin
vrany
parents:
diff changeset
   214
    ].
0931579fc087 initial checkin
vrany
parents:
diff changeset
   215
0931579fc087 initial checkin
vrany
parents:
diff changeset
   216
    ^(ChangeSet fromDirectory: self directory)
0931579fc087 initial checkin
vrany
parents:
diff changeset
   217
        name: name
0931579fc087 initial checkin
vrany
parents:
diff changeset
   218
0931579fc087 initial checkin
vrany
parents:
diff changeset
   219
    "Modified (format): / 06-07-2011 / 11:40:54 / Jan Vrany <jan.vrany@fit.cvut.cz>"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   220
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   221
0931579fc087 initial checkin
vrany
parents:
diff changeset
   222
directory
0931579fc087 initial checkin
vrany
parents:
diff changeset
   223
    ^ directory
0931579fc087 initial checkin
vrany
parents:
diff changeset
   224
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   225
0931579fc087 initial checkin
vrany
parents:
diff changeset
   226
directory:aStringOrFilename
0931579fc087 initial checkin
vrany
parents:
diff changeset
   227
    directory := aStringOrFilename.
0931579fc087 initial checkin
vrany
parents:
diff changeset
   228
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   229
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   230
!ChangeSetSpec::Directory methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   231
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   232
isDirectory
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   233
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   234
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   235
    "Created: / 20-03-2012 / 14:59:20 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   236
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   237
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   238
!ChangeSetSpec::Explicit class methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   239
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   240
editorSpecSelector
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   241
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   242
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   243
    ^ self shouldImplement
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   244
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   245
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   246
label
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   247
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   248
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   249
    ^ 'Explictly specified changeset'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   250
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   251
    "Modified: / 19-03-2012 / 23:57:10 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   252
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   253
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   254
!ChangeSetSpec::Explicit methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   255
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   256
changeSet
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   257
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   258
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   259
    ^ self shouldImplement
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   260
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   261
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   262
!ChangeSetSpec::Explicit methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   263
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   264
isExplicit
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   265
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   266
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   267
    "Created: / 20-03-2012 / 14:59:29 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   268
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   269
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   270
!ChangeSetSpec::File class methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   271
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   272
editorSpecSelector
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   273
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   274
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   275
    ^ #editorSpecForFile
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   276
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   277
    "Modified: / 19-03-2012 / 22:04:14 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   278
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   279
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   280
label
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   281
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   282
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   283
    ^ 'File'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   284
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   285
    "Modified: / 19-03-2012 / 22:04:22 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   286
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   287
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   288
!ChangeSetSpec::File methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   289
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   290
changeSet
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   291
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   292
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   293
    | name |
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   294
    name := file asString.
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   295
    name size > 50 ifTrue:[
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   296
        name := '...' , (name copyFrom: name size - 47)
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   297
    ].
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   298
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   299
    ^(ChangeSet fromFile: self file)
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   300
        name: name
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   301
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   302
    "Modified: / 20-03-2012 / 11:31:45 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   303
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   304
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   305
file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   306
    ^ file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   307
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   308
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   309
file:something
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   310
    file := something.
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   311
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   312
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   313
!ChangeSetSpec::File methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   314
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   315
isFile
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   316
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   317
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   318
    "Created: / 20-03-2012 / 14:59:41 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   319
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   320
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   321
!ChangeSetSpec::Package class methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   322
0931579fc087 initial checkin
vrany
parents:
diff changeset
   323
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   324
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   325
0931579fc087 initial checkin
vrany
parents:
diff changeset
   326
    ^ #editorSpecForPackage
0931579fc087 initial checkin
vrany
parents:
diff changeset
   327
0931579fc087 initial checkin
vrany
parents:
diff changeset
   328
    "Modified: / 05-07-2011 / 23:37:52 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   329
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   330
0931579fc087 initial checkin
vrany
parents:
diff changeset
   331
label
0931579fc087 initial checkin
vrany
parents:
diff changeset
   332
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   333
0931579fc087 initial checkin
vrany
parents:
diff changeset
   334
    ^ 'Loaded package'
0931579fc087 initial checkin
vrany
parents:
diff changeset
   335
0931579fc087 initial checkin
vrany
parents:
diff changeset
   336
    "Modified: / 05-07-2011 / 23:31:34 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   337
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   338
0931579fc087 initial checkin
vrany
parents:
diff changeset
   339
!ChangeSetSpec::Package methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   340
0931579fc087 initial checkin
vrany
parents:
diff changeset
   341
changeSet
0931579fc087 initial checkin
vrany
parents:
diff changeset
   342
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   343
0931579fc087 initial checkin
vrany
parents:
diff changeset
   344
    ^ (ChangeSet forPackage: self package) 
0931579fc087 initial checkin
vrany
parents:
diff changeset
   345
        name: ('Package %1 (from image)' bindWith: package)
0931579fc087 initial checkin
vrany
parents:
diff changeset
   346
0931579fc087 initial checkin
vrany
parents:
diff changeset
   347
    "Modified: / 06-07-2011 / 11:38:54 / Jan Vrany <jan.vrany@fit.cvut.cz>"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   348
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   349
0931579fc087 initial checkin
vrany
parents:
diff changeset
   350
package
0931579fc087 initial checkin
vrany
parents:
diff changeset
   351
    ^ package
0931579fc087 initial checkin
vrany
parents:
diff changeset
   352
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   353
0931579fc087 initial checkin
vrany
parents:
diff changeset
   354
package:aStringOrSymbol
0931579fc087 initial checkin
vrany
parents:
diff changeset
   355
    package := aStringOrSymbol.
0931579fc087 initial checkin
vrany
parents:
diff changeset
   356
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   357
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   358
!ChangeSetSpec::Package methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   359
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   360
isPackage
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   361
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   362
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   363
    "Created: / 20-03-2012 / 14:59:46 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   364
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   365
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   366
!ChangeSetSpec class methodsFor:'documentation'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   367
0931579fc087 initial checkin
vrany
parents:
diff changeset
   368
version_CVS
12125
0c49a3b13e43 Merged with /trunk
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12123
diff changeset
   369
    ^ '§Header: /cvs/stx/stx/libtool/Tools__ChangeSetSpec.st,v 1.2 2011/10/02 19:52:17 stefan Exp §'
12128
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
   370
!
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
   371
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
   372
version_SVN
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   373
    ^ '$Id: Tools__ChangeSetSpec.st 7947 2012-03-20 16:59:54Z vranyj1 $'
12125
0c49a3b13e43 Merged with /trunk
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12123
diff changeset
   374
! !