Tools__ChangeSetSpec.st
author Jan Vrany <jan.vrany@fit.cvut.cz>
Wed, 28 Aug 2013 12:52:38 +0100
branchjv
changeset 13465 1f1e8b6710c8
parent 12431 9f0c59c742d5
child 13674 7cf98b160823
permissions -rw-r--r--
Bugfix Tools::CodeView2::GutterView - do not redraw gutter if its not shown. This actually fixes DNU when CodeView2 is used in diff view. Then the second gutter is not shown and #yOfTextViewLine: returns nil (bad) which leads to DNU.
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
12128
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     1
"
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     2
 COPYRIGHT (c) 2006 by eXept Software AG
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     3
              All Rights Reserved
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     4
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     5
 This software is furnished under a license and may be used
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     6
 only in accordance with the terms of that license and with the
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     7
 inclusion of the above copyright notice.   This software may not
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     8
 be provided or otherwise made available to, or used by, any
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
     9
 other person.  No title to or ownership of the software is
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    10
 hereby transferred.
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    11
"
12125
0c49a3b13e43 Merged with /trunk
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12123
diff changeset
    12
"{ Package: 'stx:libtool' }"
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
    13
0931579fc087 initial checkin
vrany
parents:
diff changeset
    14
"{ NameSpace: Tools }"
0931579fc087 initial checkin
vrany
parents:
diff changeset
    15
0931579fc087 initial checkin
vrany
parents:
diff changeset
    16
Object subclass:#ChangeSetSpec
0931579fc087 initial checkin
vrany
parents:
diff changeset
    17
	instanceVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    18
	classVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    19
	poolDictionaries:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    20
	category:'Interface-Dialogs'
0931579fc087 initial checkin
vrany
parents:
diff changeset
    21
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
    22
0931579fc087 initial checkin
vrany
parents:
diff changeset
    23
ChangeSetSpec subclass:#Directory
0931579fc087 initial checkin
vrany
parents:
diff changeset
    24
	instanceVariableNames:'directory'
0931579fc087 initial checkin
vrany
parents:
diff changeset
    25
	classVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    26
	poolDictionaries:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    27
	privateIn:ChangeSetSpec
0931579fc087 initial checkin
vrany
parents:
diff changeset
    28
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
    29
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    30
ChangeSetSpec subclass:#Explicit
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    31
	instanceVariableNames:'changeSet'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    32
	classVariableNames:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    33
	poolDictionaries:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    34
	privateIn:ChangeSetSpec
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    35
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    36
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    37
ChangeSetSpec subclass:#File
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    38
	instanceVariableNames:'file'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    39
	classVariableNames:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    40
	poolDictionaries:''
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    41
	privateIn:ChangeSetSpec
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    42
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    43
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
    44
ChangeSetSpec subclass:#Package
0931579fc087 initial checkin
vrany
parents:
diff changeset
    45
	instanceVariableNames:'package'
0931579fc087 initial checkin
vrany
parents:
diff changeset
    46
	classVariableNames:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    47
	poolDictionaries:''
0931579fc087 initial checkin
vrany
parents:
diff changeset
    48
	privateIn:ChangeSetSpec
0931579fc087 initial checkin
vrany
parents:
diff changeset
    49
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
    50
12128
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    51
!ChangeSetSpec class methodsFor:'documentation'!
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    52
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    53
copyright
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    54
"
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    55
 COPYRIGHT (c) 2006 by eXept Software AG
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    56
              All Rights Reserved
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    57
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    58
 This software is furnished under a license and may be used
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    59
 only in accordance with the terms of that license and with the
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    60
 inclusion of the above copyright notice.   This software may not
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    61
 be provided or otherwise made available to, or used by, any
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    62
 other person.  No title to or ownership of the software is
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    63
 hereby transferred.
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    64
"
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
    65
! !
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
    66
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    67
!ChangeSetSpec class methodsFor:'instance creation'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    68
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
    69
changeSet
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
    70
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
    71
    ^Explicit new
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
    72
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
    73
    "Created: / 03-08-2012 / 15:35:23 / Jan Vrany <jan.vrany@fit.cvut.cz>"
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
    74
!
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
    75
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    76
changeSet: changeset
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    77
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    78
    ^Explicit new changeSet: changeset
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    79
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    80
    "Created: / 19-03-2012 / 23:59:30 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    81
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    82
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    83
directory: directory
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    84
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    85
    ^Directory new package: directory
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    86
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    87
    "Created: / 19-03-2012 / 23:59:07 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    88
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    89
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    90
file: file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    91
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    92
    ^File new file: file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    93
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    94
    "Created: / 19-03-2012 / 23:58:51 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    95
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    96
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    97
package: package
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    98
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
    99
    ^Package new package: package
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   100
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   101
    "Created: / 19-03-2012 / 23:58:40 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   102
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   103
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   104
!ChangeSetSpec class methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   105
0931579fc087 initial checkin
vrany
parents:
diff changeset
   106
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   107
0931579fc087 initial checkin
vrany
parents:
diff changeset
   108
    ^self subclassResponsibility
0931579fc087 initial checkin
vrany
parents:
diff changeset
   109
0931579fc087 initial checkin
vrany
parents:
diff changeset
   110
    "Created: / 05-07-2011 / 23:37:03 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   111
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   112
0931579fc087 initial checkin
vrany
parents:
diff changeset
   113
label
0931579fc087 initial checkin
vrany
parents:
diff changeset
   114
0931579fc087 initial checkin
vrany
parents:
diff changeset
   115
    ^self subclassResponsibility
0931579fc087 initial checkin
vrany
parents:
diff changeset
   116
0931579fc087 initial checkin
vrany
parents:
diff changeset
   117
    "Created: / 05-07-2011 / 23:29:33 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   118
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   119
0931579fc087 initial checkin
vrany
parents:
diff changeset
   120
specClasses
0931579fc087 initial checkin
vrany
parents:
diff changeset
   121
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   122
    ^self allSubclasses"/ reject:[:e|e == Explicit]
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   123
0931579fc087 initial checkin
vrany
parents:
diff changeset
   124
    "Created: / 05-07-2011 / 23:30:45 / jv"
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   125
    "Modified: / 03-08-2012 / 15:21:28 / Jan Vrany <jan.vrany@fit.cvut.cz>"
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   126
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   127
0931579fc087 initial checkin
vrany
parents:
diff changeset
   128
!ChangeSetSpec methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   129
0931579fc087 initial checkin
vrany
parents:
diff changeset
   130
changeSet
0931579fc087 initial checkin
vrany
parents:
diff changeset
   131
0931579fc087 initial checkin
vrany
parents:
diff changeset
   132
    ^self subclassResponsibility
0931579fc087 initial checkin
vrany
parents:
diff changeset
   133
0931579fc087 initial checkin
vrany
parents:
diff changeset
   134
    "Created: / 05-07-2011 / 23:13:35 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   135
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   136
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   137
directory
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   138
    ^'N/A'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   139
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   140
    "Created: / 19-03-2012 / 23:31:49 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   141
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   142
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   143
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   144
0931579fc087 initial checkin
vrany
parents:
diff changeset
   145
    ^self class editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   146
0931579fc087 initial checkin
vrany
parents:
diff changeset
   147
    "Created: / 06-07-2011 / 09:57:51 / Jan Vrany <jan.vrany@fit.cvut.cz>"
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   148
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   149
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   150
file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   151
    ^'N/A'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   152
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   153
    "Created: / 19-03-2012 / 23:31:42 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   154
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   155
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   156
package
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   157
    ^'N/A'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   158
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   159
    "Created: / 19-03-2012 / 23:31:57 / Jan Vrany <jan.vrany@fit.cvut.cz>"
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   160
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   161
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   162
!ChangeSetSpec methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   163
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   164
isChangeSet
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   165
    ^self isExplicit
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   166
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   167
    "Created: / 20-03-2012 / 14:58:58 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   168
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   169
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   170
isDirectory
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   171
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   172
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   173
    "Created: / 20-03-2012 / 14:59:16 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   174
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   175
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   176
isExplicit
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   177
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   178
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   179
    "Created: / 20-03-2012 / 14:58:50 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   180
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   181
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   182
isFile
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   183
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   184
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   185
    "Created: / 20-03-2012 / 14:58:34 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   186
!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   187
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   188
isPackage
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   189
    ^false
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   190
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   191
    "Created: / 20-03-2012 / 14:58:43 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   192
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   193
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   194
!ChangeSetSpec::Directory class methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   196
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   197
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   198
0931579fc087 initial checkin
vrany
parents:
diff changeset
   199
    ^ #editorSpecForDirectory
0931579fc087 initial checkin
vrany
parents:
diff changeset
   200
0931579fc087 initial checkin
vrany
parents:
diff changeset
   201
    "Modified: / 05-07-2011 / 23:37:41 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   202
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   203
0931579fc087 initial checkin
vrany
parents:
diff changeset
   204
label
0931579fc087 initial checkin
vrany
parents:
diff changeset
   205
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   206
0931579fc087 initial checkin
vrany
parents:
diff changeset
   207
    ^ 'Directory'
0931579fc087 initial checkin
vrany
parents:
diff changeset
   208
0931579fc087 initial checkin
vrany
parents:
diff changeset
   209
    "Modified: / 05-07-2011 / 23:31:12 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   210
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   211
0931579fc087 initial checkin
vrany
parents:
diff changeset
   212
!ChangeSetSpec::Directory methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   213
0931579fc087 initial checkin
vrany
parents:
diff changeset
   214
changeSet
0931579fc087 initial checkin
vrany
parents:
diff changeset
   215
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   216
0931579fc087 initial checkin
vrany
parents:
diff changeset
   217
    | name |
0931579fc087 initial checkin
vrany
parents:
diff changeset
   218
    name := directory asString.
0931579fc087 initial checkin
vrany
parents:
diff changeset
   219
    name size > 50 ifTrue:[
0931579fc087 initial checkin
vrany
parents:
diff changeset
   220
        name := '...' , (name copyFrom: name size - 47)
0931579fc087 initial checkin
vrany
parents:
diff changeset
   221
    ].
0931579fc087 initial checkin
vrany
parents:
diff changeset
   222
0931579fc087 initial checkin
vrany
parents:
diff changeset
   223
    ^(ChangeSet fromDirectory: self directory)
0931579fc087 initial checkin
vrany
parents:
diff changeset
   224
        name: name
0931579fc087 initial checkin
vrany
parents:
diff changeset
   225
0931579fc087 initial checkin
vrany
parents:
diff changeset
   226
    "Modified (format): / 06-07-2011 / 11:40:54 / Jan Vrany <jan.vrany@fit.cvut.cz>"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   227
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   228
0931579fc087 initial checkin
vrany
parents:
diff changeset
   229
directory
0931579fc087 initial checkin
vrany
parents:
diff changeset
   230
    ^ directory
0931579fc087 initial checkin
vrany
parents:
diff changeset
   231
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   232
0931579fc087 initial checkin
vrany
parents:
diff changeset
   233
directory:aStringOrFilename
0931579fc087 initial checkin
vrany
parents:
diff changeset
   234
    directory := aStringOrFilename.
0931579fc087 initial checkin
vrany
parents:
diff changeset
   235
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   236
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   237
!ChangeSetSpec::Directory methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   238
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   239
isDirectory
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   240
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   241
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   242
    "Created: / 20-03-2012 / 14:59:20 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   243
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   244
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   245
!ChangeSetSpec::Explicit class methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   246
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   247
editorSpecSelector
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   248
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   249
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   250
    ^ #editorSpecForExplicit
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   251
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   252
    "Modified: / 03-08-2012 / 15:21:14 / Jan Vrany <jan.vrany@fit.cvut.cz>"
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   253
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   254
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   255
label
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   256
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   257
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   258
    ^ 'ChangeSet object'
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   259
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   260
    "Modified: / 03-08-2012 / 15:19:45 / Jan Vrany <jan.vrany@fit.cvut.cz>"
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   261
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   262
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   263
!ChangeSetSpec::Explicit methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   264
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   265
changeSet
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   266
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   267
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   268
    ^changeSet isNil ifTrue:[changeSet := ChangeSet new].
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   269
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   270
    "Modified: / 03-08-2012 / 15:22:34 / Jan Vrany <jan.vrany@fit.cvut.cz>"
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   271
!
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   272
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   273
changeSet:something
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   274
    changeSet := something.
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   275
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   276
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   277
!ChangeSetSpec::Explicit methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   278
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   279
isExplicit
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   280
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   281
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   282
    "Created: / 20-03-2012 / 14:59:29 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   283
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   284
12200
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   285
!ChangeSetSpec::File class methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   286
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   287
editorSpecSelector
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   288
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   289
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   290
    ^ #editorSpecForFile
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   291
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   292
    "Modified: / 19-03-2012 / 22:04:14 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   293
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   294
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   295
label
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   296
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   297
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   298
    ^ 'File'
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   299
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   300
    "Modified: / 19-03-2012 / 22:04:22 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   301
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   302
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   303
!ChangeSetSpec::File methodsFor:'accessing'!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   304
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   305
changeSet
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   306
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   307
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   308
    | name |
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   309
    name := file asString.
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   310
    name size > 50 ifTrue:[
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   311
        name := '...' , (name copyFrom: name size - 47)
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   312
    ].
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   313
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   314
    ^(ChangeSet fromFile: self file)
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   315
        name: name
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   316
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   317
    "Modified: / 20-03-2012 / 11:31:45 / Jan Vrany <jan.vrany@fit.cvut.cz>"
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   318
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   319
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   320
file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   321
    ^ file
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   322
!
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   323
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   324
file:something
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   325
    file := something.
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   326
! !
807a52b94f2a Further improvements in ChangeSetDiffTool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12128
diff changeset
   327
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   328
!ChangeSetSpec::File methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   329
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   330
isFile
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   331
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   332
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   333
    "Created: / 20-03-2012 / 14:59:41 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   334
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   335
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   336
!ChangeSetSpec::Package class methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   337
0931579fc087 initial checkin
vrany
parents:
diff changeset
   338
editorSpecSelector
0931579fc087 initial checkin
vrany
parents:
diff changeset
   339
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   340
0931579fc087 initial checkin
vrany
parents:
diff changeset
   341
    ^ #editorSpecForPackage
0931579fc087 initial checkin
vrany
parents:
diff changeset
   342
0931579fc087 initial checkin
vrany
parents:
diff changeset
   343
    "Modified: / 05-07-2011 / 23:37:52 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   344
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   345
0931579fc087 initial checkin
vrany
parents:
diff changeset
   346
label
0931579fc087 initial checkin
vrany
parents:
diff changeset
   347
    "superclass Tools::ChangeSetSpec class says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   348
0931579fc087 initial checkin
vrany
parents:
diff changeset
   349
    ^ 'Loaded package'
0931579fc087 initial checkin
vrany
parents:
diff changeset
   350
0931579fc087 initial checkin
vrany
parents:
diff changeset
   351
    "Modified: / 05-07-2011 / 23:31:34 / jv"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   352
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   353
0931579fc087 initial checkin
vrany
parents:
diff changeset
   354
!ChangeSetSpec::Package methodsFor:'accessing'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   355
0931579fc087 initial checkin
vrany
parents:
diff changeset
   356
changeSet
0931579fc087 initial checkin
vrany
parents:
diff changeset
   357
    "superclass Tools::ChangeSetSpec says that I am responsible to implement this method"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   358
0931579fc087 initial checkin
vrany
parents:
diff changeset
   359
    ^ (ChangeSet forPackage: self package) 
0931579fc087 initial checkin
vrany
parents:
diff changeset
   360
        name: ('Package %1 (from image)' bindWith: package)
0931579fc087 initial checkin
vrany
parents:
diff changeset
   361
0931579fc087 initial checkin
vrany
parents:
diff changeset
   362
    "Modified: / 06-07-2011 / 11:38:54 / Jan Vrany <jan.vrany@fit.cvut.cz>"
0931579fc087 initial checkin
vrany
parents:
diff changeset
   363
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   364
0931579fc087 initial checkin
vrany
parents:
diff changeset
   365
package
0931579fc087 initial checkin
vrany
parents:
diff changeset
   366
    ^ package
0931579fc087 initial checkin
vrany
parents:
diff changeset
   367
!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   368
0931579fc087 initial checkin
vrany
parents:
diff changeset
   369
package:aStringOrSymbol
0931579fc087 initial checkin
vrany
parents:
diff changeset
   370
    package := aStringOrSymbol.
0931579fc087 initial checkin
vrany
parents:
diff changeset
   371
! !
0931579fc087 initial checkin
vrany
parents:
diff changeset
   372
12201
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   373
!ChangeSetSpec::Package methodsFor:'testing'!
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   374
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   375
isPackage
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   376
    ^true
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   377
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   378
    "Created: / 20-03-2012 / 14:59:46 / Jan Vrany <jan.vrany@fit.cvut.cz>"
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   379
! !
283826cb8bcc Improvements in merge tool
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12200
diff changeset
   380
10195
0931579fc087 initial checkin
vrany
parents:
diff changeset
   381
!ChangeSetSpec class methodsFor:'documentation'!
0931579fc087 initial checkin
vrany
parents:
diff changeset
   382
0931579fc087 initial checkin
vrany
parents:
diff changeset
   383
version_CVS
12125
0c49a3b13e43 Merged with /trunk
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12123
diff changeset
   384
    ^ '§Header: /cvs/stx/stx/libtool/Tools__ChangeSetSpec.st,v 1.2 2011/10/02 19:52:17 stefan Exp §'
12128
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
   385
!
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
   386
12431
9f0c59c742d5 Added LintRuleSettingsApplication and LintRuleEditDialog to define user-defined rule sets.
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12276
diff changeset
   387
version_HG
9f0c59c742d5 Added LintRuleSettingsApplication and LintRuleEditDialog to define user-defined rule sets.
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12276
diff changeset
   388
9f0c59c742d5 Added LintRuleSettingsApplication and LintRuleEditDialog to define user-defined rule sets.
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12276
diff changeset
   389
    ^ '$Changeset: <not expanded> $'
9f0c59c742d5 Added LintRuleSettingsApplication and LintRuleEditDialog to define user-defined rule sets.
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12276
diff changeset
   390
!
9f0c59c742d5 Added LintRuleSettingsApplication and LintRuleEditDialog to define user-defined rule sets.
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12276
diff changeset
   391
12128
a7ff7d66ee85 Improvements in LintHighlighter, few fixes
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12125
diff changeset
   392
version_SVN
12276
415495417f39 - Tools::ChangeSetSpec
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12201
diff changeset
   393
    ^ '$Id: Tools__ChangeSetSpec.st 8036 2012-08-03 15:14:04Z vranyj1 $'
12125
0c49a3b13e43 Merged with /trunk
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12123
diff changeset
   394
! !
12431
9f0c59c742d5 Added LintRuleSettingsApplication and LintRuleEditDialog to define user-defined rule sets.
Jan Vrany <jan.vrany@fit.cvut.cz>
parents: 12276
diff changeset
   395