#initialize
authorClaus Gittinger <cg@exept.de>
Sun, 05 Jan 1997 00:42:53 +0100
changeset 2062 762e951d42b8
parent 2061 d6f224b06999
child 2063 1b5c3afb5122
#initialize
Autoload.st
--- a/Autoload.st	Sun Jan 05 00:40:36 1997 +0100
+++ b/Autoload.st	Sun Jan 05 00:42:53 1997 +0100
@@ -221,7 +221,7 @@
 
 autoload
     "use this to force loading
-     - it is defined a noop in all non-autoloading clases"
+     - it is defined a noop in all non-autoloading classes"
 
     |mySelf myName newClass oldMeta project prevMode package|
 
@@ -326,7 +326,7 @@
     ObjectMemory flushCaches.
     LoadedClasses rehash.
 
-    (newClass class implements:#initialize) ifTrue:[
+    (newClass class respondsTo:#initialize) ifTrue:[
         newClass initialize.
     ].
     newClass postAutoload.
@@ -491,6 +491,6 @@
 !Autoload class methodsFor:'documentation'!
 
 version
-    ^ '$Header: /cvs/stx/stx/libbasic/Autoload.st,v 1.60 1997-01-04 23:40:36 cg Exp $'
+    ^ '$Header: /cvs/stx/stx/libbasic/Autoload.st,v 1.61 1997-01-04 23:42:53 cg Exp $'
 ! !
 Autoload initialize!